summaryrefslogtreecommitdiffhomepage
path: root/source/cam.v
blob: 082194ac690f503889c1bf68ccc4cd0ab4eb4336 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
/* 
 * 	cam.v
 * 	
 *   Copyright (C) 2018, 2019 Mind Chasers Inc.
 *
 *   Licensed under the Apache License, Version 2.0 (the "License");
 *   you may not use this file except in compliance with the License.
 *   You may obtain a copy of the License at
 *
 *       http://www.apache.org/licenses/LICENSE-2.0
 *
 *   Unless required by applicable law or agreed to in writing, software
 *   distributed under the License is distributed on an "AS IS" BASIS,
 *   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 *   See the License for the specific language governing permissions and
 *   limitations under the License.
 *	
 *	function: single cycle, parameterized CAM 
 *	
 */
 
`timescale 1ns /10ps

module cam #(parameter DEPTH = 4,
			parameter DEPTHW = 2,
			parameter WIDTH = 32)
(
	input	rstn,
	input	clk,
	
	// input for programming
	input 	sel,
	input 	we,
	input 	[DEPTHW+1:0] addr,		// add two bits for the byte selects
	input 	[7:0]	d_in,
	
	input 	search,
	input	[(WIDTH-1):0]search_address,
	
	// output
	output reg match
);
	
	reg [(WIDTH-1):0] content[0:DEPTH-1];
	reg [(DEPTH-1):0] valid;
	integer i,j;
	
	// Program the CAM
	always @(posedge clk, negedge rstn)
		if( !rstn ) begin
			for (i=0; i < DEPTH; i=i+1)	begin
				content[i] <= 32'h0;
				valid[i] <= 1'b0;
			end
		end
		else if ( we && sel )
			if (addr[1:0] == 2'b00) begin
				content[addr[DEPTHW+1:2]][7:0] <= d_in;
				valid[addr[DEPTHW+1:2]] <= 1'b1;
			end
			else if (addr[1:0] == 2'b01) begin
				content[addr[DEPTHW+1:2]][15:8] <= d_in;
				valid[addr[DEPTHW+1:2]] <= 1'b1;
			end
			else if (addr[1:0] == 2'b10) begin
				content[addr[DEPTHW+1:2]][23:16] <= d_in;
				valid[addr[DEPTHW+1:2]] <= 1'b1;
			end
			else if (addr[1:0] == 2'b11) begin
				content[addr[DEPTHW+1:2]][31:24] <= d_in;
				valid[addr[DEPTHW+1:2]] <= 1'b1;
			end
		
	// search the CAM
	always @(posedge clk) begin
		match <= 1'b0;
		for (j=0; j < DEPTH; j=j+1)	begin
			if (search && valid[j] && search_address == content[j])
				match <= 1'b1;

		end
	end
	
	
endmodule