/* Generated by Yosys 0.8+634 (git sha1 ac2fc3a1, gcc 7.4.0-1ubuntu1~18.04.1 -fPIC -Os) */ (* top = 1 *) (* src = "/opt/yosys/tsts/led_tst.v:1" *) module led_tst(rstn, led); (* src = "/opt/yosys/tsts/led_tst.v:14" *) wire [20:0] _00_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:203" *) (* unused_bits = "20" *) wire [31:0] _01_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _02_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _03_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _04_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _05_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _06_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _07_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _08_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _09_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _10_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _11_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _12_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _13_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _14_; (* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) wire _15_; (* src = "/opt/yosys/tsts/led_tst.v:6" *) wire clk; (* src = "/opt/yosys/tsts/led_tst.v:7" *) reg [20:0] cnt; (* src = "/opt/yosys/tsts/led_tst.v:3" *) output led; (* src = "/opt/yosys/tsts/led_tst.v:2" *) input rstn; assign led = ~(* src = "/opt/yosys/tsts/led_tst.v:21" *) cnt[20]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[0] <= 0; else cnt[0] <= _00_[0]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[1] <= 0; else cnt[1] <= _00_[1]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[2] <= 0; else cnt[2] <= _00_[2]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[3] <= 0; else cnt[3] <= _00_[3]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[4] <= 0; else cnt[4] <= _00_[4]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[5] <= 0; else cnt[5] <= _00_[5]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[6] <= 0; else cnt[6] <= _00_[6]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[7] <= 0; else cnt[7] <= _00_[7]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[8] <= 0; else cnt[8] <= _00_[8]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[9] <= 0; else cnt[9] <= _00_[9]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[10] <= 0; else cnt[10] <= _00_[10]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[11] <= 0; else cnt[11] <= _00_[11]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[12] <= 0; else cnt[12] <= _00_[12]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[13] <= 0; else cnt[13] <= _00_[13]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[14] <= 0; else cnt[14] <= _00_[14]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[15] <= 0; else cnt[15] <= _00_[15]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[16] <= 0; else cnt[16] <= _00_[16]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[17] <= 0; else cnt[17] <= _00_[17]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[18] <= 0; else cnt[18] <= _00_[18]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[19] <= 0; else cnt[19] <= _00_[19]; (* src = "/opt/yosys/tsts/led_tst.v:14" *) always @(posedge clk or negedge rstn) if (!rstn) cnt[20] <= 0; else cnt[20] <= _00_[20]; assign _00_[1] = cnt[1] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) cnt[0]; assign _00_[2] = cnt[2] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[1]; assign _00_[3] = cnt[3] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[2]; assign _00_[4] = cnt[4] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[3]; assign _00_[5] = cnt[5] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[4]; assign _00_[6] = cnt[6] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[5]; assign _00_[7] = cnt[7] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[6]; assign _00_[8] = cnt[8] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[7]; assign _00_[9] = cnt[9] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[8]; assign _00_[10] = cnt[10] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[9]; assign _00_[11] = cnt[11] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[10]; assign _00_[12] = cnt[12] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[11]; assign _00_[13] = cnt[13] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[12]; assign _00_[14] = cnt[14] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[13]; assign _00_[15] = cnt[15] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[14]; assign _00_[16] = cnt[16] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[15]; assign _00_[17] = cnt[17] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[16]; assign _00_[18] = cnt[18] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[17]; assign _00_[19] = cnt[19] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[18]; assign _00_[20] = cnt[20] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:263" *) _01_[19]; assign _00_[0] = cnt[0] ^(* src = "/opt/yosys/tsts/led_tst.v:18|:262" *) 1'h1; assign _01_[1] = cnt[1] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:221" *) cnt[0]; assign _01_[3] = _02_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:221" *) _01_[1]; assign _01_[7] = _11_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:221" *) _01_[3]; assign _01_[15] = _15_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:221" *) _01_[7]; assign _02_ = cnt[3] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[2]; assign _03_ = cnt[5] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[4]; assign _04_ = cnt[7] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[6]; assign _05_ = cnt[9] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[8]; assign _06_ = cnt[11] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[10]; assign _07_ = cnt[13] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[12]; assign _08_ = cnt[15] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[14]; assign _09_ = cnt[17] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[16]; assign _10_ = cnt[19] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) cnt[18]; assign _11_ = _04_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) _03_; assign _12_ = _06_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) _05_; assign _13_ = _08_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) _07_; assign _14_ = _10_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) _09_; assign _15_ = _13_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:222" *) _12_; assign _01_[11] = _12_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[7]; assign _01_[19] = _14_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[15]; assign _01_[5] = _03_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[3]; assign _01_[9] = _05_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[7]; assign _01_[13] = _07_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[11]; assign _01_[17] = _09_ &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[15]; assign _01_[2] = cnt[2] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[1]; assign _01_[4] = cnt[4] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[3]; assign _01_[6] = cnt[6] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[5]; assign _01_[8] = cnt[8] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[7]; assign _01_[10] = cnt[10] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[9]; assign _01_[12] = cnt[12] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[11]; assign _01_[14] = cnt[14] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[13]; assign _01_[16] = cnt[16] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[15]; assign _01_[18] = cnt[18] &(* src = "/opt/yosys/tsts/led_tst.v:18|:260|:229" *) _01_[17]; (* module_not_derived = 32'd1 *) (* src = "/opt/yosys/tsts/led_tst.v:9" *) GSR GSR_INST ( .GSR(rstn) ); (* module_not_derived = 32'd1 *) (* src = "/opt/yosys/tsts/led_tst.v:11" *) OSCG #( .DIV(32'sd32) ) oscg_inst ( .OSC(clk) ); assign { _01_[31:21], _01_[0] } = { 11'h000, cnt[0] }; endmodule