summaryrefslogtreecommitdiffhomepage
path: root/source/drop2_fifo.v
blob: dcadd92fdee65eec9084150fa50e0e0c8593f3a9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
/*
 *   drop2_fifo.v
 *
 *   Copyright (C) 2018, 2019 Mind Chasers Inc.
 *
 *   Licensed under the Apache License, Version 2.0 (the "License");
 *   you may not use this file except in compliance with the License.
 *   You may obtain a copy of the License at
 *
 *       http://www.apache.org/licenses/LICENSE-2.0
 *
 *   Unless required by applicable law or agreed to in writing, software
 *   distributed under the License is distributed on an "AS IS" BASIS,
 *   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 *   See the License for the specific language governing permissions and
 *   limitations under the License.
 *       
 *   function:  Double buffered side-by-side FIFO 
 *   Uses 2 DPRAMs with additional logic for paths involving 1G to 100Mbit 
 *       
 */

`timescale 1ns /10ps

module drop2_fifo(
		input	rstn,
		input	clk,
		input 	enable,
		
		// control (drop, keep, and done are asserted for one clock )
		input	keep,				// this packet won't be dropped, start transferring it (KEEPS ARE AND'ED)
		input	passthrough,			// don't store data, write through instead
		
		// input
		input	we_in,
		input  wr_done,
		input [8:0]	d_in,
		
		// output
		output 	we_out,
		output	reg [8:0] d_out,
		
		// debug
		output reg active
);

// local parameters and includes


// nets and registers

// the msbit of the ptr selects the DPRAM
reg	[11:0] 	wr_ptr;
reg	[11:0] 	rd_ptr;

wire [8:0]  d_out_0, d_out_1, d_out_internal;

reg read_run, read_run_m1;		// read continues while read_run is set
reg i_we_out, i_we_out_m1;		// internal we_out
wire re;						// read enable for dpram
reg rd_done_p1, rd_done;
wire i_keep;
reg kept;

assign i_keep = keep & enable;

wire dpram0_a_clk_e, dpram1_a_clk_e;
wire dpram0_b_clk_e, dpram1_b_clk_e;

/* 
 * kept: assert for length of write duration after keep asserts
 */
always @(posedge clk, negedge rstn)
	if( !rstn )
		kept <= 1'b0;
	else if ( wr_done )
		kept <= 1'b0;
	else if ( i_keep )
		kept <= 1'b1;
	
/*
 * 	read_run logic
 *	read starts after wr_done
 * 	continues until the FIFO is empty
 */
always @(posedge clk, negedge rstn)
	if( !rstn )
	begin
		read_run <= 1'b0;
		read_run_m1 <= 1'b0;
	end
	else if ( rd_done_p1 )
	begin
		read_run <= 1'b0;
		read_run_m1 <= 1'b0;
	end
	else if ( kept && wr_done )
	begin
		read_run <= 1'b1;
	end
	else
		read_run_m1 <= read_run;
	
assign re = read_run;

/*
 * 	we_out logic
 * 	delayed version of re
 */
always @(posedge clk, negedge rstn)
	if( !rstn )
		i_we_out <= 1'b0;
	else if ( read_run && read_run_m1 )
		i_we_out <= 1'b1;
	else
		i_we_out <= 1'b0;
	
always @(posedge clk, negedge rstn)
	if( !rstn )
		i_we_out_m1 <= 1'b0;
	else
		i_we_out_m1 <= i_we_out;
	
// OR these two signals to stretch we_out to the last byte from FIFO
assign we_out = i_we_out | i_we_out_m1;
	
/*
 *  upper / lower half DPRAM logic
 *  directs upper or lower write buffer in FIFO
 *  toggle on each done event (rx_data is complete)
 *  don't toggle on a drop event (reuse buffer)
 */
always @(posedge clk, negedge rstn)
	if ( !rstn )
		wr_ptr[11] <= 1'b0;		// init to the lower bank
	else if ( wr_done && kept )
		wr_ptr[11]  <= ~wr_ptr[11]; 
	
/*
 * wr_ptr logic excluding msbit
 * reset pointer when wr_done
 */
always @(posedge clk, negedge rstn)
	if( !rstn )
		wr_ptr[10:0] <= 'd0;
	else if ( wr_done )
		wr_ptr[10:0] <= 'd0;
	else if ( we_in )
		wr_ptr[10:0] <= wr_ptr[10:0] + 1;

/* 
 * each time the FIFO is empty, set rd_ptr[11] to wr_ptr[11]
 * FIFO becomes empty through reading
 */
always @(posedge clk, negedge rstn)
	if ( !rstn )
		rd_ptr[11] <= 1'b0;		// init to the lower bank
	else if ( rd_done )
		rd_ptr[11] <= wr_ptr[11];	
	
/*
 * rd_ptr logic excluding msbit
 */	
always @(posedge clk, negedge rstn)
	if( !rstn )
		rd_ptr[10:0] <= 'd0;
	else if ( rd_done )
		rd_ptr[10:0] <= 'd0;
	else if ( re )
		rd_ptr[10:0] <= rd_ptr[10:0] + 1;
	
/*
 * d_out register
 * 
 */
always @(posedge clk, negedge rstn)
	if( !rstn )
		d_out <= 'd0;
	else
		d_out <= d_out_internal;
		
		
/*
*	rd_done logic
*/
always @(posedge clk, negedge rstn)
	if( !rstn )
		begin
			rd_done_p1 <= 1'b0;
			rd_done <= 1'b0;
		end
	else
		begin
			rd_done_p1 <= d_out_internal[8];
			rd_done <= rd_done_p1;
		end
	
// debug
always @(posedge clk, negedge rstn)
	if( !rstn )
		active <= 1'b0;
	else if ( we_in || we_out )
		active <= 1'b1;
	else 
		active <= 1'b0;	
	
assign dpram0_a_clk_e = ~wr_ptr[11];
assign dpram1_a_clk_e = wr_ptr[11];

assign dpram0_b_clk_e = ~rd_ptr[11];
assign dpram1_b_clk_e = rd_ptr[11];

assign d_out_internal = dpram0_b_clk_e ? d_out_0 : d_out_1;
		

dpram dpram_0(
.rstn(  rstn  ),
.a_clk( clk ),
.a_clk_e( dpram0_a_clk_e ),
.a_we( we_in ),
.a_oe( 1'b0 ),
.a_addr( wr_ptr[10:0] ),
.a_din( d_in ),
.a_dout( ),
// port B
.b_clk(  clk ),
.b_clk_e( dpram0_b_clk_e ),
.b_we( 1'b0 ),
.b_oe( re  ),
.b_addr( rd_ptr[10:0] ),
.b_din( 9'h0 ),
.b_dout( d_out_0 )
);

dpram dpram_1(
	.rstn(  rstn  ),
	.a_clk( clk ),
	.a_clk_e( dpram1_a_clk_e ),
	.a_we( we_in ),
	.a_oe( 1'b0 ),
	.a_addr( wr_ptr[10:0] ),
	.a_din( d_in ),
	.a_dout( ),
		// port B
	.b_clk(  clk ),
	.b_clk_e( dpram1_b_clk_e ),
	.b_we( 1'b0 ),
	.b_oe( re  ),
	.b_addr( rd_ptr[10:0] ),
	.b_din( 9'h0 ),
	.b_dout( d_out_1 )
);


endmodule