summaryrefslogtreecommitdiffhomepage
path: root/source/controller.v
blob: c695938556711d350cbc1897c4b37fe302901c71 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
/*
 *       controller.v
 *       
 *   Copyright (C) 2018, 2109, 2020, 2021 Mind Chasers Inc.
 *
 *   Licensed under the Apache License, Version 2.0 (the "License");
 *   you may not use this file except in compliance with the License.
 *   You may obtain a copy of the License at
 *
 *       http://www.apache.org/licenses/LICENSE-2.0
 *
 *   Unless required by applicable law or agreed to in writing, software
 *   distributed under the License is distributed on an "AS IS" BASIS,
 *   WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 *   See the License for the specific language governing permissions and
 *   limitations under the License.
 *	
 *	function: FPGA internal state machine controller
 *	
 */
 
`timescale 1ns /10ps

module controller	#(parameter ADDR_SZ = 7)
(
	input rstn,
	input clk,
	input init,
	input pulse_100ms,
	
	// PCS status lines
	input [3:0] pcs_rx_error,
	input [1:0] pll_lol,
	
	// link status
	input [3:0] port_up,
	
	// mdio_controller interface
	output	reg mdio_cont_start,
	input 	mdio_cont_done,
	output reg [ADDR_SZ-1:0] mdio_routine_addr,
	input mdio_run,			// the mdio controller is active
	
	// mdio_data params
	output reg [4:0] mdio_page,
	output reg [4:0] mdio_reg_addr,
	output reg [7:0] mdio_w_data_h, 
	output reg [7:0] mdio_w_data_l,
	
	// bin_to_ascii interface for MDIO or CONT -> bin_to_ascii -> FIFO -> I2C
	input bin_to_ascii_run,		// asserted if block is active and writing FIFO
	
	// sync_fifo interface: controller to fifo
	output fifo_mux_sel,		// 0 is self, 1 is mdio_controller
	output	reg fifo_we,
	output reg [6:0] read_fifo_d_o,
		
	// i2c interface: i2c to controller
	input  i2c_rx_we,
	input  i2c_rx_done,
	input [7:0]	i2c_d_in,
	
	// DCU Resets
	output [1:0] pcs_rst_dual,
	output [1:0] serdes_rst_dual,
	output [1:0] tx_serdes_rst,
	
	// Channel 
	output [3:0] phy_resetn,
	output [3:0] mac_reset,

	output [3:0] tx_pcs_rst,
	output [3:0] rx_serdes_rst,
	output [3:0] rx_pcs_rst,
	
	output reg [1:0]  mdio_mux_sel,
	output i2c_fifo_priority,
	
	// TX custom packet
	output reg tx_custom

);

	// state encoding  
	localparam 	BUFFER_SZ = 8;	// index starts at 1, 0 is cmd

	localparam 	S0= 4'h0, S1=4'h1, S2=4'h2, S3=4'h3,
				S4= 4'h4, S5=4'h5, S6=4'h6, S7=4'h7,
				S8= 4'h8, S9=4'h9, S10=4'ha, S11=4'hb,
				S12= 4'hc, S13=4'hd, S14=4'he, S15=4'hf;

	// ascii codes we use
	localparam	ASCIIc	= 7'h63,		// channel resets
				ASCIId	= 7'h64,		// set and dump page
				ASCIIf  = 7'h66,		// FIFO control
				ASCIIi 	= 7'h69,		// init ( sgmii mode )
				ASCIIl 	= 7'h6c,		// link status
				ASCIIm	= 7'h6d,		// mdio mux
				ASCIIp	= 7'h70,		// PCS /SERDES block status
				ASCIIq  = 7'h71,
				ASCIIr	= 7'h72,		// set address and read reg
				ASCIIs 	= 7'h73,		// show mdio line status
				ASCIIt	= 7'h74,		// transmit test packet
				ASCIIu	= 7'h75,
				ASCIIw	= 7'h77,		// write reg at preset page and address
				ASCIIx	= 7'h78,		// control word
				ASCIIy	= 7'h79,		// extended read
				ASCIIz	= 7'h7a,		// extended write
				ASCII_	= 7'h5f,
				LF  	= 7'h0a,
				CR 		= 7'h0d;

	reg [1:0] x_reg[0:3];	// ASCIIx: phy_reset and mac_reset
	reg [2:0] c_reg[0:3];	// ASCIIc one reg per channel
	reg [2:0] u_reg[0:1];	// 2 DCU's: pcs_rst_dual, serdes_rst_dual, tx_serdes_rst
	
	reg [3:0] cont_state;
	reg [3:0] cnt;				// input cnt, 0 is cmd, 1-8 are buffer, beyond are thrown out & flag is set
	reg [6:0] cmd;
	integer i;
	
	reg [6:0] buffer [1:BUFFER_SZ];	// data buffer
	
	reg mdio_cmd, cont_cmd;
	wire rx_cmd;
	reg mdio_cont_busy;
	
	wire[5:0] pcs_s;
	wire[3:0] link_s;
	
	reg cont_start, cont_busy, cont_done;
	
	assign i2c_fifo_priority = 1'b1;
	assign pcs_s = { pll_lol, pcs_rx_error };
	assign link_s = port_up;
	
	/* 
	*  	main state machine for controller 
	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				cont_state <= S0;
			else
				case (cont_state)
					S0: cont_state <= S1;			// S0 is default on reset
					S1: if ( rx_cmd && cont_cmd )
							cont_state <= S2;	
						else if ( rx_cmd ) 
							cont_state <= S3;
					S2: cont_state <= S3;			// respond to cont cmd
					S3: if ( !cont_busy ) 
							cont_state <= S4;
					S4: if ( !mdio_cont_busy ) 
							cont_state <= S1;
					default: cont_state <= cont_state;	
				endcase
		end
		
/*
*	Controller Tasks, controller always runs upon rx_cmd.  
*	Other tasks will hold off until cont_done asserts
*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				cont_start <= 1'b0;
			else if ( rx_cmd )
				cont_start <= 1'b1;
			else
				cont_start <= 1'b0;
		end
		
	// cont_busy 
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				cont_busy <= 1'b0;
			else if ( cont_start )
				cont_busy <= 1'b1;
			else if ( cont_done )
				cont_busy <= 1'b0;		
		end	
		
	// cont_done
	always @(posedge clk or negedge rstn) 
	begin 
		if ( !rstn )
			cont_done <= 1'b0;
		else if ( cont_busy )
			cont_done <= 1'b1;
		else
			cont_done <= 1'b0;		
	end	

	always @(cmd)
		begin
			if ( cmd == ASCIIp || cmd == ASCIIl )
				cont_cmd <= 1'b1;
			else
				cont_cmd <= 1'b0;
		end	

	/*
	*	MDIO controller related
	*/
	always @(cmd)
		begin
			if ( cmd == ASCIIi || cmd == ASCIIs || cmd == ASCIId || cmd == ASCIIr || cmd == ASCIIw || cmd == ASCIIy || cmd == ASCIIz  )
				mdio_cmd <= 1'b1;
			else
				mdio_cmd <= 1'b0;
		end
	
	
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_cont_start <= 1'b0;
			else if ( cont_done && mdio_cmd )
				mdio_cont_start <= 1'b1;
			else
				mdio_cont_start <= 1'b0;
		end
		
	/*
	* 	driver: mdio_route_addr
	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_routine_addr <= 'd0;
			else if ( cont_done && mdio_cmd )
				if ( cmd == ASCIIi )
					mdio_routine_addr <= 'd0;
				else if ( cmd == ASCIIs )
					mdio_routine_addr <= 'd20;
				else if ( cmd == ASCIId )
					mdio_routine_addr <= 'd25;
				else if ( cmd == ASCIIr )
					mdio_routine_addr <= 'd48;
				else if ( cmd == ASCIIw )
					mdio_routine_addr <= 'd50;
				else if ( cmd == ASCIIy )
					mdio_routine_addr <= 'd60;
				else if ( cmd == ASCIIz )
					mdio_routine_addr <= 'd80;
		end
		
	// mdio_cont_busy
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_cont_busy <= 1'b0;
			else if ( mdio_cont_start )
				mdio_cont_busy <= 1'b1;
			else if ( mdio_cont_done )
				mdio_cont_busy <= 1'b0;		
		end
		
	/* fifo_mux_sel = 1 when controller does NOT have FIFO bus */
	assign fifo_mux_sel = mdio_cont_busy | mdio_run;
		
	/* set mdio page 	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_page <= 'd0;
			else if ( rx_cmd && cmd == ASCIId )
				mdio_page <= { buffer[1][0], buffer[2][3:0] };
		end	
		
	/* set mdio_reg_addr	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_reg_addr <= 'd0;
			else if ( rx_cmd && cmd == ASCIIr )
				mdio_reg_addr <= { buffer[1][0], buffer[2][3:0] };
		end	
		
	/* set mdio_w_data_l and mdio_w_data_h	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				begin
					mdio_w_data_l <= 'd0;
					mdio_w_data_h <= 'd0;
				end
			else if ( rx_cmd &&  (cmd == ASCIIw  || cmd == ASCIIy || cmd == ASCIIz ) )
				begin
					mdio_w_data_h <= { buffer[1][3:0], buffer[2][3:0] };	
					mdio_w_data_l <= { buffer[3][3:0], buffer[4][3:0] };
				end
		end	
	
	// Channel Resets
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				begin
					c_reg[0] <= 'hff;
					c_reg[1] <= 'hff;
					c_reg[2] <= 'hff;
					c_reg[3] <= 'hff;
				end
			else if ( rx_cmd && cmd == ASCIIc )
				begin
					c_reg[0] <= buffer[4][2:0];
					c_reg[1] <= buffer[3][2:0];
					c_reg[2] <= buffer[2][2:0];
					c_reg[3] <= buffer[1][2:0];
				end
		end	
		
	assign rx_pcs_rst[0] = c_reg[0][0];
	assign rx_serdes_rst[0] = c_reg[0][1];
	assign tx_pcs_rst[0]= c_reg[0][2];
	
	assign rx_pcs_rst[1] = c_reg[1][0];
	assign rx_serdes_rst[1] = c_reg[1][1];
	assign tx_pcs_rst[1]= c_reg[1][2];
	
	assign rx_pcs_rst[2] = c_reg[2][0];
	assign rx_serdes_rst[2] = c_reg[2][1];
	assign tx_pcs_rst[2]= c_reg[2][2];
	
	assign rx_pcs_rst[3] = c_reg[3][0];
	assign rx_serdes_rst[3] = c_reg[3][1];
	assign tx_pcs_rst[3]= c_reg[3][2];

	/* DCU resets */
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				begin
					u_reg[0] <= 'hff;
					u_reg[1] <= 'hff;
				end
			else if ( rx_cmd && cmd == ASCIIu )
				begin
					u_reg[0] <= buffer[2][2:0];
					u_reg[1] <= buffer[1][2:0];
				end
		end	
		
	// DCU0 Reset assignments
	assign pcs_rst_dual[0] = u_reg[0][2];
	assign serdes_rst_dual[0] = u_reg[0][1];
	assign tx_serdes_rst[0] = u_reg[0][0];
	
	// DCU1 Reset assignments
	assign pcs_rst_dual[1] = u_reg[1][2];
	assign serdes_rst_dual[1] = u_reg[1][1];
	assign tx_serdes_rst[1] = u_reg[1][0];
	
	/* X control */
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				begin
					x_reg[0] <= 'hff;
					x_reg[1] <= 'hff;
					x_reg[2] <= 'hff;
					x_reg[3] <= 'hff;

				end
			else if ( rx_cmd && cmd == ASCIIx )
				begin
					x_reg[0] <= buffer[4][1:0];
					x_reg[1] <= buffer[3][1:0];
					x_reg[2] <= buffer[2][1:0];
					x_reg[3] <= buffer[1][1:0];

				end
		end	

	assign mac_reset[0] = x_reg[0][0];
	assign phy_resetn[0] = ~x_reg[0][1];

	assign mac_reset[1] = x_reg[1][0];
	assign phy_resetn[1] = ~x_reg[1][1];
	
	assign mac_reset[2] = x_reg[2][0];
	assign phy_resetn[2] = ~x_reg[2][1];
	
	assign mac_reset[3] = x_reg[3][0];
	assign phy_resetn[3] = ~x_reg[3][1];
	
	
	/* mdio_mux_sel */
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				mdio_mux_sel <= 'h0;
			else if ( rx_cmd && cmd == ASCIIm )
				mdio_mux_sel <= buffer[1][1:0];
		end	
		
	/* transmit custom packet */
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				tx_custom <= 1'b0;
			else if ( rx_cmd && cmd == ASCIIt )
				tx_custom <= 1'b1;
			else
				tx_custom <= 1'b0;			
		end	
	
	/* FIFO logic */
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				fifo_we <= 1'b0;
			else if ( cont_state == S2 )
				fifo_we <= 1'b1;
			else 
				fifo_we <= 1'b0;
		end	

		
   always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				read_fifo_d_o <= 0;
			else if ( cont_state == S2 && cmd == ASCIIp )	// pcs status
				read_fifo_d_o <= { 1'b0, pcs_s };
			else if ( cont_state == S2 && cmd == ASCIIl )	// link status
				read_fifo_d_o <= { 3'b000, link_s };			
		end

	/*
	*	capture the cmd and buffer
	*	rx_cmd is a one shot at the end that triggers the state machine
	*/
	assign rx_cmd = i2c_rx_done;
	
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				cmd <= 7'h0;
			else if (  i2c_rx_we && cnt == 4'h0 )
				cmd <= i2c_d_in;
		end	
	
    always @(posedge clk or negedge rstn)
		begin 
			if ( !rstn )
				begin
					for (i=1; i<=BUFFER_SZ; i=i+1)
						begin
							buffer[i] <= 'h0;
						end
				end
			else if (  i2c_rx_we && cnt > 0 && cnt <= BUFFER_SZ )
				buffer[cnt] <= i2c_d_in;
		end
		
	/*
	* 	counter for I2c rx buffer.  
	*/
    always @(posedge clk or negedge rstn) 
		begin 
			if ( !rstn )
				cnt <= 'h0;
			else if (i2c_rx_done)
				cnt <= 'h0;	
			else if (i2c_rx_we  )
				cnt <= cnt + 1;
		end


endmodule