summaryrefslogtreecommitdiffhomepage
path: root/clarity/pcs/pcs.sbx
diff options
context:
space:
mode:
Diffstat (limited to 'clarity/pcs/pcs.sbx')
-rw-r--r--clarity/pcs/pcs.sbx7844
1 files changed, 7844 insertions, 0 deletions
diff --git a/clarity/pcs/pcs.sbx b/clarity/pcs/pcs.sbx
new file mode 100644
index 0000000..6941e9c
--- /dev/null
+++ b/clarity/pcs/pcs.sbx
@@ -0,0 +1,7844 @@
+<!DOCTYPE pcs>
+<lattice:project>
+ <spirit:component>
+ <spirit:vendor>LATTICE</spirit:vendor>
+ <spirit:library>LOCAL</spirit:library>
+ <spirit:name>pcs</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./pcs.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./pcs.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators/>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>refclk0_refclkn</spirit:name>
+ <spirit:displayName>refclk0_refclkn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">refclk0.refclkn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>refclk0_refclkp</spirit:name>
+ <spirit:displayName>refclk0_refclkp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">refclk0.refclkp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.ctc_del_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.ctc_ins_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.ctc_orun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.ctc_urun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_cyawstn</spirit:name>
+ <spirit:displayName>sgmii0_cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.cyawstn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_hdinn</spirit:name>
+ <spirit:displayName>sgmii0_hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.hdinn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_hdinp</spirit:name>
+ <spirit:displayName>sgmii0_hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.hdinp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_hdoutn</spirit:name>
+ <spirit:displayName>sgmii0_hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.hdoutn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_hdoutp</spirit:name>
+ <spirit:displayName>sgmii0_hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.hdoutp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii0_lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.lsm_status_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_pll_lol</spirit:name>
+ <spirit:displayName>sgmii0_pll_lol</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.pll_lol</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii0_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii0_rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_cdr_lol_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii0_rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_los_low_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_en</spirit:name>
+ <spirit:displayName>sgmii0_sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_en</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii0_sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_en_dual</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_int</spirit:name>
+ <spirit:displayName>sgmii0_sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_int</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_rd</spirit:name>
+ <spirit:displayName>sgmii0_sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_rd</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_sel</spirit:name>
+ <spirit:displayName>sgmii0_sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_sel</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii0_sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_sel_dual</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_wrn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii0_serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.serdes_rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii0_signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.signal_detect_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii0_tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_pclk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_txi_clk</spirit:name>
+ <spirit:displayName>sgmii0_txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.txi_clk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.ctc_del_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.ctc_ins_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.ctc_orun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.ctc_urun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_hdinn</spirit:name>
+ <spirit:displayName>sgmii1_hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.hdinn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_hdinp</spirit:name>
+ <spirit:displayName>sgmii1_hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.hdinp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_hdoutn</spirit:name>
+ <spirit:displayName>sgmii1_hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.hdoutn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_hdoutp</spirit:name>
+ <spirit:displayName>sgmii1_hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.hdoutp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii1_lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.lsm_status_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii1_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii1_rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_cdr_lol_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii1_rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_los_low_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_sci_en</spirit:name>
+ <spirit:displayName>sgmii1_sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.sci_en</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_sci_sel</spirit:name>
+ <spirit:displayName>sgmii1_sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.sci_sel</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii1_serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.serdes_pdb</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii1_serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.serdes_rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii1_signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.signal_detect_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii1_tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_pclk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_txi_clk</spirit:name>
+ <spirit:displayName>sgmii1_txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.txi_clk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.ctc_del_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.ctc_ins_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.ctc_orun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.ctc_urun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_cyawstn</spirit:name>
+ <spirit:displayName>sgmii2_cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.cyawstn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_hdinn</spirit:name>
+ <spirit:displayName>sgmii2_hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.hdinn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_hdinp</spirit:name>
+ <spirit:displayName>sgmii2_hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.hdinp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_hdoutn</spirit:name>
+ <spirit:displayName>sgmii2_hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.hdoutn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_hdoutp</spirit:name>
+ <spirit:displayName>sgmii2_hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.hdoutp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii2_lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.lsm_status_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_pll_lol</spirit:name>
+ <spirit:displayName>sgmii2_pll_lol</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.pll_lol</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii2_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii2_rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_cdr_lol_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii2_rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_los_low_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_en</spirit:name>
+ <spirit:displayName>sgmii2_sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.sci_en</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii2_sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_en_dual</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_int</spirit:name>
+ <spirit:displayName>sgmii2_sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_int</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_rd</spirit:name>
+ <spirit:displayName>sgmii2_sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_rd</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_sel</spirit:name>
+ <spirit:displayName>sgmii2_sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.sci_sel</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii2_sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_sel_dual</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_wrn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii2_serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.serdes_pdb</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii2_serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.serdes_rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii2_signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.signal_detect_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii2_tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_pclk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_txi_clk</spirit:name>
+ <spirit:displayName>sgmii2_txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.txi_clk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.ctc_del_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.ctc_ins_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.ctc_orun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.ctc_urun_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_hdinn</spirit:name>
+ <spirit:displayName>sgmii3_hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.hdinn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_hdinp</spirit:name>
+ <spirit:displayName>sgmii3_hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.hdinp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_hdoutn</spirit:name>
+ <spirit:displayName>sgmii3_hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.hdoutn</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_hdoutp</spirit:name>
+ <spirit:displayName>sgmii3_hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.hdoutp</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii3_lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.lsm_status_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_pll_refclki</spirit:name>
+ <spirit:displayName>sgmii3_pll_refclki</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.pll_refclki</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii3_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii3_rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_cdr_lol_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii3_rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_los_low_s</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rxrefclk</spirit:name>
+ <spirit:displayName>sgmii3_rxrefclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rxrefclk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_sci_en</spirit:name>
+ <spirit:displayName>sgmii3_sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_en</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_sci_sel</spirit:name>
+ <spirit:displayName>sgmii3_sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_sel</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii3_serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.serdes_rst_dual_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii3_signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.signal_detect_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii3_tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_pclk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_pcs_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_pwrup_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_serdes_rst_c</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_txi_clk</spirit:name>
+ <spirit:displayName>sgmii3_txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.txi_clk</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii0_rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_cv_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii0_rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_disp_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rx_k</spirit:name>
+ <spirit:displayName>sgmii0_rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_rxdata</spirit:name>
+ <spirit:displayName>sgmii0_rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.rxdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_addr</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_addr</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_rddata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.sci_wrdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii0_tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_disp_correct</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_tx_k</spirit:name>
+ <spirit:displayName>sgmii0_tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.tx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_txdata</spirit:name>
+ <spirit:displayName>sgmii0_txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.txdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii0_xmit</spirit:name>
+ <spirit:displayName>sgmii0_xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii0.xmit</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii1_rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_cv_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii1_rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_disp_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rx_k</spirit:name>
+ <spirit:displayName>sgmii1_rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_rxdata</spirit:name>
+ <spirit:displayName>sgmii1_rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.rxdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii1_tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_disp_correct</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_tx_k</spirit:name>
+ <spirit:displayName>sgmii1_tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.tx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_txdata</spirit:name>
+ <spirit:displayName>sgmii1_txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.txdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii1_xmit</spirit:name>
+ <spirit:displayName>sgmii1_xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii1.xmit</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii2_rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_cv_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii2_rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_disp_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rx_k</spirit:name>
+ <spirit:displayName>sgmii2_rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_rxdata</spirit:name>
+ <spirit:displayName>sgmii2_rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.rxdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_addr</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_addr</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_rddata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.sci_wrdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii2_tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_disp_correct</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_tx_k</spirit:name>
+ <spirit:displayName>sgmii2_tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.tx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_txdata</spirit:name>
+ <spirit:displayName>sgmii2_txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.txdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii2_xmit</spirit:name>
+ <spirit:displayName>sgmii2_xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii2.xmit</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii3_rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_cv_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii3_rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_disp_err</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rx_k</spirit:name>
+ <spirit:displayName>sgmii3_rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_rxdata</spirit:name>
+ <spirit:displayName>sgmii3_rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.rxdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii3_tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_disp_correct</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_tx_k</spirit:name>
+ <spirit:displayName>sgmii3_tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.tx_k</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_txdata</spirit:name>
+ <spirit:displayName>sgmii3_txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.txdata</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sgmii3_xmit</spirit:name>
+ <spirit:displayName>sgmii3_xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="exportFrom">sgmii3.xmit</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:device>LFE5UM-45F-8BG381C</lattice:device>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:date>2017-06-09.17:06:27</lattice:date>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:diamond>3.10.3.144</lattice:diamond>
+ <lattice:language>Verilog</lattice:language>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements/>
+ <lattice:lpc/>
+ <lattice:groups/>
+ </spirit:vendorExtensions>
+ </spirit:component>
+ <spirit:design>
+ <spirit:vendor>LATTICE</spirit:vendor>
+ <spirit:library>LOCAL</spirit:library>
+ <spirit:name>pcs</spirit:name>
+ <spirit:version>1.0</spirit:version>
+ <spirit:componentInstances>
+ <spirit:componentInstance>
+ <spirit:instanceName>refclk0</spirit:instanceName>
+ <spirit:componentRef>
+ <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
+ <spirit:library>LEGACY</spirit:library>
+ <spirit:name>EXTREF</spirit:name>
+ <spirit:version>1.1</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./refclk0/refclk0.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./refclk0/refclk0.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Configuration</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>CreateNGD</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Generation</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>GENERATE</spirit:group>
+ </spirit:componentGenerator>
+ </spirit:componentGenerators>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>refclkn</spirit:name>
+ <spirit:displayName>refclkn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>refclko</spirit:name>
+ <spirit:displayName>refclko</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>refclkp</spirit:name>
+ <spirit:displayName>refclkp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="BBox">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_RXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_TXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements>
+ <lattice:element>
+ <lattice:name>EXTREF</lattice:name>
+ <lattice:type>EXTREF</lattice:type>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="ElementDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="ElementHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="ElementType">EXTREF</lattice:attribute>
+ <lattice:attribute lattice:name="Locate">3</lattice:attribute>
+ </lattice:attributes>
+ </lattice:element>
+ </lattice:elements>
+ <lattice:lpc>
+ <lattice:lpcsection lattice:name="Device"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Family</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">ecp5um</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>OperatingCondition</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Package</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F-8BG381C</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SpeedGrade</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Status</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="IP"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">EXTREF</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreRevision</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreStatus</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Date</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">10/17/2017</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ModuleName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">refclk0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SourceFormat</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">verilog</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Time</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">18:21:09</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VendorName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="Parameters"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Destination</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EDIF</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EXTREFDCBIAS</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EXTREFTERMRES</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Expression</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Order</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VHDL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Verilog</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ </lattice:lpc>
+ <lattice:groups>
+ <lattice:group>
+ <lattice:name>EXTREF</lattice:name>
+ <lattice:category>1</lattice:category>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="GroupDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="GroupHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="GroupType">EXTREF</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elementref>EXTREF</lattice:elementref>
+ </lattice:group>
+ </lattice:groups>
+ </spirit:vendorExtensions>
+ </spirit:componentRef>
+ </spirit:componentInstance>
+ <spirit:componentInstance>
+ <spirit:instanceName>sgmii0</spirit:instanceName>
+ <spirit:componentRef>
+ <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
+ <spirit:library>LEGACY</spirit:library>
+ <spirit:name>PCS</spirit:name>
+ <spirit:version>8.2</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii0/sgmii0.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ <spirit:file>
+ <spirit:name>./sgmii0/sgmii0_softlogic.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii0/sgmii0.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ <spirit:file>
+ <spirit:name>./sgmii0/sgmii0_softlogic.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Configuration</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>CreateNGD</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Generation</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>GENERATE</spirit:group>
+ </spirit:componentGenerator>
+ </spirit:componentGenerators>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>ctc_del_s</spirit:name>
+ <spirit:displayName>ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_ins_s</spirit:name>
+ <spirit:displayName>ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_orun_s</spirit:name>
+ <spirit:displayName>ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_urun_s</spirit:name>
+ <spirit:displayName>ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>cyawstn</spirit:name>
+ <spirit:displayName>cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinn</spirit:name>
+ <spirit:displayName>hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinp</spirit:name>
+ <spirit:displayName>hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutn</spirit:name>
+ <spirit:displayName>hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutp</spirit:name>
+ <spirit:displayName>hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>lsm_status_s</spirit:name>
+ <spirit:displayName>lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_lol</spirit:name>
+ <spirit:displayName>pll_lol</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_refclki</spirit:name>
+ <spirit:displayName>pll_refclki</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rst_dual_c</spirit:name>
+ <spirit:displayName>rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_los_low_s</spirit:name>
+ <spirit:displayName>rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pwrup_c</spirit:name>
+ <spirit:displayName>rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxrefclk</spirit:name>
+ <spirit:displayName>rxrefclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en</spirit:name>
+ <spirit:displayName>sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en_dual</spirit:name>
+ <spirit:displayName>sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_int</spirit:name>
+ <spirit:displayName>sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rd</spirit:name>
+ <spirit:displayName>sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel</spirit:name>
+ <spirit:displayName>sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel_dual</spirit:name>
+ <spirit:displayName>sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrn</spirit:name>
+ <spirit:displayName>sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_pdb</spirit:name>
+ <spirit:displayName>serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>signal_detect_c</spirit:name>
+ <spirit:displayName>signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sli_rst</spirit:name>
+ <spirit:displayName>sli_rst</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="Hide">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pclk</spirit:name>
+ <spirit:displayName>tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pwrup_c</spirit:name>
+ <spirit:displayName>tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txi_clk</spirit:name>
+ <spirit:displayName>txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cv_err</spirit:name>
+ <spirit:displayName>rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_disp_err</spirit:name>
+ <spirit:displayName>rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_k</spirit:name>
+ <spirit:displayName>rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxdata</spirit:name>
+ <spirit:displayName>rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_addr</spirit:name>
+ <spirit:displayName>sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rddata</spirit:name>
+ <spirit:displayName>sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrdata</spirit:name>
+ <spirit:displayName>sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_disp_correct</spirit:name>
+ <spirit:displayName>tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_k</spirit:name>
+ <spirit:displayName>tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txdata</spirit:name>
+ <spirit:displayName>txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>xmit</spirit:name>
+ <spirit:displayName>xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="BBox">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_RXREFCLK">DCU0_EXTREF</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_TXREFCLK">DCU0_EXTREF</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements>
+ <lattice:element>
+ <lattice:name>Lane0</lattice:name>
+ <lattice:type>DCUCHANNEL</lattice:type>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="ElementDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="ElementHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="ElementType">DCUCHANNEL</lattice:attribute>
+ <lattice:attribute lattice:name="Locate">5</lattice:attribute>
+ </lattice:attributes>
+ </lattice:element>
+ </lattice:elements>
+ <lattice:lpc>
+ <lattice:lpcsection lattice:name="Device"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Family</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">ecp5um</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>OperatingCondition</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Package</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F-8BG381C</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SpeedGrade</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Status</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="IP"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">PCS</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreRevision</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8.2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreStatus</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Date</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">03/15/2019</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ModuleName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sgmii0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SourceFormat</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">verilog</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Time</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">18:47:34</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VendorName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="Parameters"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;RXMCAENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLACTION</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Recalibration</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLRANGE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_REF_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CH_MODE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Rx and Tx</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Destination</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EDIF</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Expression</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO_TYPE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LEQ</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOOPBACK</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOSPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>NUM_CHS</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Order</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PROTOCOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RCSRC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>REFCLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RSTSEQSEL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1010000011</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0101111100</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1111111111</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOUPLING</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">AC</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN1</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN2</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1 BCH</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN3</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 50H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCMATCHPATTERN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">M2-S2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLOSTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">4</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLSM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXSC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">K28P5</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXWA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Barrel Shift</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SCIPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SOFTLOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXAMPLITUDE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">400</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPOST</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPRE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLLOLTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLMULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VHDL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Verilog</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="FilesGenerated"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii0.pp</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pp</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii0.sym</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sym</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii0.tft</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">tft</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii0.txt</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pcs_module</lattice:lpcvalue>
+ </lattice:lpcentry>
+ </lattice:lpc>
+ <lattice:groups>
+ <lattice:group>
+ <lattice:name>DCUCHANNEL</lattice:name>
+ <lattice:category>1</lattice:category>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="GroupDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="GroupHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="GroupType">DCUCHANNEL</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elementref>Lane0</lattice:elementref>
+ </lattice:group>
+ </lattice:groups>
+ </spirit:vendorExtensions>
+ </spirit:componentRef>
+ </spirit:componentInstance>
+ <spirit:componentInstance>
+ <spirit:instanceName>sgmii1</spirit:instanceName>
+ <spirit:componentRef>
+ <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
+ <spirit:library>LEGACY</spirit:library>
+ <spirit:name>PCS</spirit:name>
+ <spirit:version>8.2</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii1/sgmii1.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii1/sgmii1.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Configuration</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>CreateNGD</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Generation</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>GENERATE</spirit:group>
+ </spirit:componentGenerator>
+ </spirit:componentGenerators>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>ctc_del_s</spirit:name>
+ <spirit:displayName>ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_ins_s</spirit:name>
+ <spirit:displayName>ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_orun_s</spirit:name>
+ <spirit:displayName>ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_urun_s</spirit:name>
+ <spirit:displayName>ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>cyawstn</spirit:name>
+ <spirit:displayName>cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinn</spirit:name>
+ <spirit:displayName>hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinp</spirit:name>
+ <spirit:displayName>hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutn</spirit:name>
+ <spirit:displayName>hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutp</spirit:name>
+ <spirit:displayName>hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>lsm_status_s</spirit:name>
+ <spirit:displayName>lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_refclki</spirit:name>
+ <spirit:displayName>pll_refclki</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rst_dual_c</spirit:name>
+ <spirit:displayName>rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_los_low_s</spirit:name>
+ <spirit:displayName>rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pwrup_c</spirit:name>
+ <spirit:displayName>rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxrefclk</spirit:name>
+ <spirit:displayName>rxrefclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en</spirit:name>
+ <spirit:displayName>sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en_dual</spirit:name>
+ <spirit:displayName>sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_int</spirit:name>
+ <spirit:displayName>sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rd</spirit:name>
+ <spirit:displayName>sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel</spirit:name>
+ <spirit:displayName>sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel_dual</spirit:name>
+ <spirit:displayName>sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrn</spirit:name>
+ <spirit:displayName>sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_pdb</spirit:name>
+ <spirit:displayName>serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>signal_detect_c</spirit:name>
+ <spirit:displayName>signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pclk</spirit:name>
+ <spirit:displayName>tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pwrup_c</spirit:name>
+ <spirit:displayName>tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txi_clk</spirit:name>
+ <spirit:displayName>txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cv_err</spirit:name>
+ <spirit:displayName>rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_disp_err</spirit:name>
+ <spirit:displayName>rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_k</spirit:name>
+ <spirit:displayName>rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxdata</spirit:name>
+ <spirit:displayName>rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_addr</spirit:name>
+ <spirit:displayName>sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rddata</spirit:name>
+ <spirit:displayName>sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrdata</spirit:name>
+ <spirit:displayName>sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_disp_correct</spirit:name>
+ <spirit:displayName>tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_k</spirit:name>
+ <spirit:displayName>tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txdata</spirit:name>
+ <spirit:displayName>txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>xmit</spirit:name>
+ <spirit:displayName>xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="BBox">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_RXREFCLK">DCU0_EXTREF</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_TXREFCLK">DCU0_EXTREF</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements>
+ <lattice:element>
+ <lattice:name>Lane0</lattice:name>
+ <lattice:type>DCUCHANNEL</lattice:type>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="ElementDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="ElementHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="ElementType">DCUCHANNEL</lattice:attribute>
+ <lattice:attribute lattice:name="Locate">6</lattice:attribute>
+ </lattice:attributes>
+ </lattice:element>
+ </lattice:elements>
+ <lattice:lpc>
+ <lattice:lpcsection lattice:name="Device"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Family</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">ecp5um</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>OperatingCondition</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Package</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F-8BG381C</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SpeedGrade</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Status</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="IP"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">PCS</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreRevision</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8.2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreStatus</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Date</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">11/18/2018</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ModuleName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sgmii1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SourceFormat</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">verilog</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Time</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">17:42:50</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VendorName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="Parameters"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;RXMCAENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLACTION</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Recalibration</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLRANGE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_REF_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CH_MODE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Rx and Tx</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Destination</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EDIF</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Expression</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO_TYPE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LEQ</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOOPBACK</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOSPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>NUM_CHS</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Order</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PROTOCOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RCSRC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>REFCLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RSTSEQSEL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1010000011</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0101111100</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1111111111</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOUPLING</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">AC</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN1</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN2</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1 BCH</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN3</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 50H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCMATCHPATTERN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">M2-S2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLOSTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">4</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLSM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXSC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">K28P5</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXWA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Barrel Shift</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SCIPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SOFTLOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXAMPLITUDE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">400</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPOST</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPRE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLLOLTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLMULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VHDL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Verilog</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="FilesGenerated"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii1.pp</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pp</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii1.sym</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sym</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii1.tft</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">tft</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii1.txt</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pcs_module</lattice:lpcvalue>
+ </lattice:lpcentry>
+ </lattice:lpc>
+ <lattice:groups>
+ <lattice:group>
+ <lattice:name>DCUCHANNEL</lattice:name>
+ <lattice:category>1</lattice:category>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="GroupDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="GroupHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="GroupType">DCUCHANNEL</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elementref>Lane0</lattice:elementref>
+ </lattice:group>
+ </lattice:groups>
+ </spirit:vendorExtensions>
+ </spirit:componentRef>
+ </spirit:componentInstance>
+ <spirit:componentInstance>
+ <spirit:instanceName>sgmii2</spirit:instanceName>
+ <spirit:componentRef>
+ <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
+ <spirit:library>LEGACY</spirit:library>
+ <spirit:name>PCS</spirit:name>
+ <spirit:version>8.2</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii2/sgmii2.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ <spirit:file>
+ <spirit:name>./sgmii2/sgmii2_softlogic.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii2/sgmii2.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ <spirit:file>
+ <spirit:name>./sgmii2/sgmii2_softlogic.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Configuration</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>CreateNGD</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Generation</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>GENERATE</spirit:group>
+ </spirit:componentGenerator>
+ </spirit:componentGenerators>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>ctc_del_s</spirit:name>
+ <spirit:displayName>ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_ins_s</spirit:name>
+ <spirit:displayName>ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_orun_s</spirit:name>
+ <spirit:displayName>ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_urun_s</spirit:name>
+ <spirit:displayName>ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>cyawstn</spirit:name>
+ <spirit:displayName>cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinn</spirit:name>
+ <spirit:displayName>hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinp</spirit:name>
+ <spirit:displayName>hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutn</spirit:name>
+ <spirit:displayName>hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutp</spirit:name>
+ <spirit:displayName>hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>lsm_status_s</spirit:name>
+ <spirit:displayName>lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_lol</spirit:name>
+ <spirit:displayName>pll_lol</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_refclki</spirit:name>
+ <spirit:displayName>pll_refclki</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rst_dual_c</spirit:name>
+ <spirit:displayName>rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_los_low_s</spirit:name>
+ <spirit:displayName>rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pwrup_c</spirit:name>
+ <spirit:displayName>rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxrefclk</spirit:name>
+ <spirit:displayName>rxrefclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en</spirit:name>
+ <spirit:displayName>sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en_dual</spirit:name>
+ <spirit:displayName>sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_int</spirit:name>
+ <spirit:displayName>sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rd</spirit:name>
+ <spirit:displayName>sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel</spirit:name>
+ <spirit:displayName>sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel_dual</spirit:name>
+ <spirit:displayName>sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrn</spirit:name>
+ <spirit:displayName>sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_pdb</spirit:name>
+ <spirit:displayName>serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>signal_detect_c</spirit:name>
+ <spirit:displayName>signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sli_rst</spirit:name>
+ <spirit:displayName>sli_rst</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="Hide">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pclk</spirit:name>
+ <spirit:displayName>tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pwrup_c</spirit:name>
+ <spirit:displayName>tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txi_clk</spirit:name>
+ <spirit:displayName>txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cv_err</spirit:name>
+ <spirit:displayName>rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_disp_err</spirit:name>
+ <spirit:displayName>rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_k</spirit:name>
+ <spirit:displayName>rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxdata</spirit:name>
+ <spirit:displayName>rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_addr</spirit:name>
+ <spirit:displayName>sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rddata</spirit:name>
+ <spirit:displayName>sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrdata</spirit:name>
+ <spirit:displayName>sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_disp_correct</spirit:name>
+ <spirit:displayName>tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_k</spirit:name>
+ <spirit:displayName>tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txdata</spirit:name>
+ <spirit:displayName>txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>xmit</spirit:name>
+ <spirit:displayName>xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="BBox">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_RXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_TXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements>
+ <lattice:element>
+ <lattice:name>Lane0</lattice:name>
+ <lattice:type>DCUCHANNEL</lattice:type>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="ElementDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="ElementHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="ElementType">DCUCHANNEL</lattice:attribute>
+ <lattice:attribute lattice:name="Locate">8</lattice:attribute>
+ </lattice:attributes>
+ </lattice:element>
+ </lattice:elements>
+ <lattice:lpc>
+ <lattice:lpcsection lattice:name="Device"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Family</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">ecp5um</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>OperatingCondition</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Package</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F-8BG381C</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SpeedGrade</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Status</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="IP"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">PCS</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreRevision</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8.2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreStatus</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Date</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">03/15/2019</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ModuleName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sgmii2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SourceFormat</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">verilog</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Time</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">18:46:19</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VendorName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="Parameters"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;RXMCAENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLACTION</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Recalibration</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLRANGE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_REF_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CH_MODE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Rx and Tx</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Destination</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EDIF</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Expression</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO_TYPE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LEQ</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOOPBACK</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOSPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>NUM_CHS</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Order</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PROTOCOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RCSRC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>REFCLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RSTSEQSEL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1010000011</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0101111100</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1111111111</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOUPLING</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">AC</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN1</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN2</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1 BCH</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN3</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 50H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCMATCHPATTERN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">M2-S2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Non-invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLOSTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">4</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLSM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXSC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">K28P5</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXWA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Barrel Shift</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SCIPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SOFTLOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXAMPLITUDE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">400</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPOST</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPRE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Non-invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLLOLTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLMULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VHDL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Verilog</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="FilesGenerated"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii2.pp</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pp</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii2.sym</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sym</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii2.tft</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">tft</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii2.txt</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pcs_module</lattice:lpcvalue>
+ </lattice:lpcentry>
+ </lattice:lpc>
+ <lattice:groups>
+ <lattice:group>
+ <lattice:name>DCUCHANNEL</lattice:name>
+ <lattice:category>1</lattice:category>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="GroupDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="GroupHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="GroupType">DCUCHANNEL</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elementref>Lane0</lattice:elementref>
+ </lattice:group>
+ </lattice:groups>
+ </spirit:vendorExtensions>
+ </spirit:componentRef>
+ </spirit:componentInstance>
+ <spirit:componentInstance>
+ <spirit:instanceName>sgmii3</spirit:instanceName>
+ <spirit:componentRef>
+ <spirit:vendor>Lattice Semiconductor Corporation</spirit:vendor>
+ <spirit:library>LEGACY</spirit:library>
+ <spirit:name>PCS</spirit:name>
+ <spirit:version>8.2</spirit:version>
+ <spirit:fileSets>
+ <spirit:fileset>
+ <spirit:name>Diamond_Simulation</spirit:name>
+ <spirit:group>simulation</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii3/sgmii3.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ <spirit:fileset>
+ <spirit:name>Diamond_Synthesis</spirit:name>
+ <spirit:group>synthesis</spirit:group>
+ <spirit:file>
+ <spirit:name>./sgmii3/sgmii3.v</spirit:name>
+ <spirit:fileType>verilogSource</spirit:fileType>
+ </spirit:file>
+ </spirit:fileset>
+ </spirit:fileSets>
+ <spirit:componentGenerators>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Configuration</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>CreateNGD</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_ngd.tcl</spirit:generatorExe>
+ <spirit:group>CONFIG</spirit:group>
+ </spirit:componentGenerator>
+ <spirit:componentGenerator spirit:hidden="true" spirit:scope="instance">
+ <spirit:name>Generation</spirit:name>
+ <spirit:apiType>none</spirit:apiType>
+ <spirit:generatorExe>${sbp_path}/${instance}/generate_core.tcl</spirit:generatorExe>
+ <spirit:group>GENERATE</spirit:group>
+ </spirit:componentGenerator>
+ </spirit:componentGenerators>
+ <spirit:model>
+ <spirit:views/>
+ <spirit:ports>
+ <spirit:port>
+ <spirit:name>ctc_del_s</spirit:name>
+ <spirit:displayName>ctc_del_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_ins_s</spirit:name>
+ <spirit:displayName>ctc_ins_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_orun_s</spirit:name>
+ <spirit:displayName>ctc_orun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>ctc_urun_s</spirit:name>
+ <spirit:displayName>ctc_urun_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>cyawstn</spirit:name>
+ <spirit:displayName>cyawstn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinn</spirit:name>
+ <spirit:displayName>hdinn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdinp</spirit:name>
+ <spirit:displayName>hdinp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutn</spirit:name>
+ <spirit:displayName>hdoutn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>hdoutp</spirit:name>
+ <spirit:displayName>hdoutp</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="PadPin">true</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>lsm_status_s</spirit:name>
+ <spirit:displayName>lsm_status_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>pll_refclki</spirit:name>
+ <spirit:displayName>pll_refclki</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rst_dual_c</spirit:name>
+ <spirit:displayName>rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>rx_cdr_lol_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_los_low_s</spirit:name>
+ <spirit:displayName>rx_los_low_s</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>rx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_pwrup_c</spirit:name>
+ <spirit:displayName>rx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>rx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxrefclk</spirit:name>
+ <spirit:displayName>rxrefclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en</spirit:name>
+ <spirit:displayName>sci_en</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_en_dual</spirit:name>
+ <spirit:displayName>sci_en_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_int</spirit:name>
+ <spirit:displayName>sci_int</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rd</spirit:name>
+ <spirit:displayName>sci_rd</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel</spirit:name>
+ <spirit:displayName>sci_sel</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_sel_dual</spirit:name>
+ <spirit:displayName>sci_sel_dual</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrn</spirit:name>
+ <spirit:displayName>sci_wrn</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_pdb</spirit:name>
+ <spirit:displayName>serdes_pdb</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>serdes_rst_dual_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>signal_detect_c</spirit:name>
+ <spirit:displayName>signal_detect_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pclk</spirit:name>
+ <spirit:displayName>tx_pclk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>tx_pcs_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_pwrup_c</spirit:name>
+ <spirit:displayName>tx_pwrup_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>tx_serdes_rst_c</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txi_clk</spirit:name>
+ <spirit:displayName>txi_clk</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_cv_err</spirit:name>
+ <spirit:displayName>rx_cv_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_disp_err</spirit:name>
+ <spirit:displayName>rx_disp_err</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rx_k</spirit:name>
+ <spirit:displayName>rx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>rxdata</spirit:name>
+ <spirit:displayName>rxdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_addr</spirit:name>
+ <spirit:displayName>sci_addr</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>5</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_rddata</spirit:name>
+ <spirit:displayName>sci_rddata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>out</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>sci_wrdata</spirit:name>
+ <spirit:displayName>sci_wrdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_disp_correct</spirit:name>
+ <spirit:displayName>tx_disp_correct</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>tx_k</spirit:name>
+ <spirit:displayName>tx_k</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>txdata</spirit:name>
+ <spirit:displayName>txdata</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>7</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ <spirit:port>
+ <spirit:name>xmit</spirit:name>
+ <spirit:displayName>xmit</spirit:displayName>
+ <spirit:wire>
+ <spirit:direction>in</spirit:direction>
+ <spirit:vector>
+ <spirit:left>0</spirit:left>
+ <spirit:right>0</spirit:right>
+ </spirit:vector>
+ </spirit:wire>
+ </spirit:port>
+ </spirit:ports>
+ </spirit:model>
+ <spirit:vendorExtensions>
+ <lattice:synthesis>synplify</lattice:synthesis>
+ <lattice:modified>2019-03-15.18:48:22</lattice:modified>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="AddComponent">false</lattice:attribute>
+ <lattice:attribute lattice:name="BBox">false</lattice:attribute>
+ <lattice:attribute lattice:name="Change4to5">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConfig">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeConnect">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeDevice">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeLocate">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePack">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangePart">false</lattice:attribute>
+ <lattice:attribute lattice:name="ChangeSynthesis">false</lattice:attribute>
+ <lattice:attribute lattice:name="CoreType">LPM</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_RXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="DCU_TXREFCLK">PRIMARY</lattice:attribute>
+ <lattice:attribute lattice:name="Migrate">false</lattice:attribute>
+ <lattice:attribute lattice:name="RemovedComponent">false</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elements>
+ <lattice:element>
+ <lattice:name>Lane0</lattice:name>
+ <lattice:type>DCUCHANNEL</lattice:type>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="ElementDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="ElementHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="ElementType">DCUCHANNEL</lattice:attribute>
+ <lattice:attribute lattice:name="Locate">9</lattice:attribute>
+ </lattice:attributes>
+ </lattice:element>
+ </lattice:elements>
+ <lattice:lpc>
+ <lattice:lpcsection lattice:name="Device"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>Family</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">ecp5um</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>OperatingCondition</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">COM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Package</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">CABGA381</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F-8BG381C</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PartType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LFE5UM-45F</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SpeedGrade</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Status</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">P</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="IP"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">PCS</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreRevision</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8.2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreStatus</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Demo</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CoreType</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">LPM</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Date</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">03/15/2019</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ModuleName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sgmii3</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>ParameterFileVersion</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SourceFormat</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">verilog</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Time</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">18:46:48</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VendorName</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Lattice Semiconductor Corporation</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="Parameters"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;ACHARM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>;RXMCAENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLACTION</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Recalibration</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDRLOLRANGE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_MULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CDR_REF_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>CH_MODE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Rx and Tx</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Destination</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Synplicity</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>EDIF</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Expression</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">BusA(0 to 7)</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>IO_TYPE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LEQ</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOOPBACK</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>LOSPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>NUM_CHS</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Order</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Big Endian [MSB:LSB]</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PPORT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PROTOCOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">GbE</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_RX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>PWAIT_TX_RDY</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">3000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RCSRC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>REFCLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RSTSEQSEL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1010000011</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAB</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0101111100</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOMMAM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1111111111</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCOUPLING</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">AC</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN1</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 00H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN2</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1 BCH</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCBYTEN3</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0 50H</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXCTCMATCHPATTERN</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">M2-S2</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Non-invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLOSTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">4</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXLSM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXSC</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">K28P5</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RXWA</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Barrel Shift</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>RX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SCIPORT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>SOFTLOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX8B10B</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXAMPLITUDE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">400</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPOST</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDEPRE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Disabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXDIFFTERM</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">50 ohms</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXFIFO_ENABLE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Enabled</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXINVPOL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Non-invert</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXLDR</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Off</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLLOLTHRESHOLD</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TXPLLMULT</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">25X</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_DATA_WIDTH</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">8/10-Bit</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_FICLK_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">125.0000</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_LINE_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.2500</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_MAX_RATE</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1.25</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>TX_RATE_DIV</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">Full Rate</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>VHDL</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">0</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>Verilog</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">1</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcsection lattice:name="FilesGenerated"/>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii3.pp</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pp</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii3.sym</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">sym</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii3.tft</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">tft</lattice:lpcvalue>
+ </lattice:lpcentry>
+ <lattice:lpcentry>
+ <lattice:lpckey>sgmii3.txt</lattice:lpckey>
+ <lattice:lpcvalue lattice:resolve="constant">pcs_module</lattice:lpcvalue>
+ </lattice:lpcentry>
+ </lattice:lpc>
+ <lattice:groups>
+ <lattice:group>
+ <lattice:name>DCUCHANNEL</lattice:name>
+ <lattice:category>1</lattice:category>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="GroupDrag">true</lattice:attribute>
+ <lattice:attribute lattice:name="GroupHide">false</lattice:attribute>
+ <lattice:attribute lattice:name="GroupType">DCUCHANNEL</lattice:attribute>
+ </lattice:attributes>
+ <lattice:elementref>Lane0</lattice:elementref>
+ </lattice:group>
+ </lattice:groups>
+ </spirit:vendorExtensions>
+ </spirit:componentRef>
+ </spirit:componentInstance>
+ </spirit:componentInstances>
+ <spirit:adHocConnections>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_cyawstn</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_cyawstn</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_cyawstn</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">cyawstn</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_pll_refclki</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_pll_refclki</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_pll_refclki</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">pll_refclki</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_en_dual</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_en_dual</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_en_dual</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_int</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_int</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_int</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_int</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_rd</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_rd</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_rd</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_rd</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_sel_dual</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_sel_dual</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_sel_dual</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_wrn</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_wrn</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_wrn</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_serdes_pdb</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_serdes_pdb</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">serdes_pdb</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_cyawstn</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_cyawstn</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_cyawstn</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">cyawstn</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_pll_refclki</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_pll_refclki</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_pll_refclki</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">pll_refclki</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_en_dual</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_en_dual</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_en_dual</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_int</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_int</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_int</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_int</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_rd</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_rd</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_rd</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_rd</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_sel_dual</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_sel_dual</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_sel_dual</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_wrn</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_wrn</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sci_wrn</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_serdes_pdb</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_serdes_pdb</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">serdes_pdb</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii3"/>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_addr</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_addr</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_addr</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii0_sgmii1_sci_addr</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii0" spirit:left="5"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii1" spirit:left="5"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_rddata</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_rddata</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii0_sgmii1_sci_rddata</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii1" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sgmii1_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii0_sgmii1_sci_wrdata</spirit:displayName>
+ <spirit:description>sgmii0_sgmii1_sci_wrdata</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii0_sgmii1_sci_wrdata</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii1" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_addr</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_addr</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_addr</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii3_sgmii2_sci_addr</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii3" spirit:left="5"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii2" spirit:left="5"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_rddata</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_rddata</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii3_sgmii2_sci_rddata</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii2" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sgmii2_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii3_sgmii2_sci_wrdata</spirit:displayName>
+ <spirit:description>sgmii3_sgmii2_sci_wrdata</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="dualport">sgmii3_sgmii2_sci_wrdata</lattice:attribute>
+ <lattice:attribute lattice:name="primitive">DCU</lattice:attribute>
+ <lattice:attribute lattice:name="type">short</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii2" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>refclk0_refclkn</spirit:name>
+ <spirit:displayName>refclk0_refclkn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="refclkn" spirit:componentRef="refclk0"/>
+ <spirit:externalPortReference spirit:portRef="refclk0_refclkn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>refclk0_refclko</spirit:name>
+ <spirit:displayName>refclk0_refclko</spirit:displayName>
+ <spirit:description>refclk0_refclko</spirit:description>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_no</lattice:attribute>
+ <lattice:attribute lattice:name="type">internal</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="refclko" spirit:componentRef="refclk0"/>
+ <spirit:internalPortReference spirit:portRef="rxrefclk" spirit:componentRef="sgmii2"/>
+ <spirit:internalPortReference spirit:portRef="rxrefclk" spirit:componentRef="sgmii1"/>
+ <spirit:internalPortReference spirit:portRef="rxrefclk" spirit:componentRef="sgmii0"/>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>refclk0_refclkp</spirit:name>
+ <spirit:displayName>refclk0_refclkp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="refclkp" spirit:componentRef="refclk0"/>
+ <spirit:externalPortReference spirit:portRef="refclk0_refclkp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_del_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_del_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_ctc_del_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_ins_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_ins_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_ctc_ins_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_orun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_orun_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_ctc_orun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii0_ctc_urun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_urun_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_ctc_urun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_cyawstn</spirit:name>
+ <spirit:displayName>sgmii0_cyawstn</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_cyawstn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_hdinn</spirit:name>
+ <spirit:displayName>sgmii0_hdinn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinn" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_hdinn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_hdinp</spirit:name>
+ <spirit:displayName>sgmii0_hdinp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinp" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_hdinp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_hdoutn</spirit:name>
+ <spirit:displayName>sgmii0_hdoutn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutn" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_hdoutn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_hdoutp</spirit:name>
+ <spirit:displayName>sgmii0_hdoutp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutp" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_hdoutp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii0_lsm_status_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="lsm_status_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_lsm_status_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_pll_lol</spirit:name>
+ <spirit:displayName>sgmii0_pll_lol</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="pll_lol" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_pll_lol"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii0_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rst_dual_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii0_rx_cdr_lol_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cdr_lol_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_cdr_lol_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii0_rx_los_low_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_los_low_s" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_los_low_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pcs_rst_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pwrup_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_rx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_serdes_rst_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_en</spirit:name>
+ <spirit:displayName>sgmii0_sci_en</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_en"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii0_sci_en_dual</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_en_dual"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_int</spirit:name>
+ <spirit:displayName>sgmii0_sci_int</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_int"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rd</spirit:name>
+ <spirit:displayName>sgmii0_sci_rd</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rd"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_sel</spirit:name>
+ <spirit:displayName>sgmii0_sci_sel</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_sel"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii0_sci_sel_dual</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_sel_dual"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrn</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii0_serdes_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_rst_dual_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_serdes_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii0_signal_detect_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="signal_detect_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_signal_detect_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii0_tx_pclk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pclk" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_pclk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pcs_rst_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pwrup_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii0_tx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_serdes_rst_c" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txi_clk</spirit:name>
+ <spirit:displayName>sgmii0_txi_clk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="txi_clk" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txi_clk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_del_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_del_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_ctc_del_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_ins_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_ins_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_ctc_ins_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_orun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_orun_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_ctc_orun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii1_ctc_urun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_urun_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_ctc_urun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_hdinn</spirit:name>
+ <spirit:displayName>sgmii1_hdinn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinn" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_hdinn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_hdinp</spirit:name>
+ <spirit:displayName>sgmii1_hdinp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinp" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_hdinp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_hdoutn</spirit:name>
+ <spirit:displayName>sgmii1_hdoutn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutn" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_hdoutn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_hdoutp</spirit:name>
+ <spirit:displayName>sgmii1_hdoutp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutp" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_hdoutp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii1_lsm_status_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="lsm_status_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_lsm_status_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii1_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rst_dual_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii1_rx_cdr_lol_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cdr_lol_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_cdr_lol_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii1_rx_los_low_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_los_low_s" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_los_low_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pcs_rst_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pwrup_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_rx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_serdes_rst_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_sci_en</spirit:name>
+ <spirit:displayName>sgmii1_sci_en</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_sci_en"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_sci_sel</spirit:name>
+ <spirit:displayName>sgmii1_sci_sel</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_sci_sel"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii1_serdes_pdb</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_serdes_pdb"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii1_serdes_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_rst_dual_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_serdes_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii1_signal_detect_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="signal_detect_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_signal_detect_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii1_tx_pclk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pclk" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_pclk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pcs_rst_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pwrup_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii1_tx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_serdes_rst_c" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txi_clk</spirit:name>
+ <spirit:displayName>sgmii1_txi_clk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="txi_clk" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txi_clk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_del_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_del_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_ctc_del_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_ins_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_ins_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_ctc_ins_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_orun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_orun_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_ctc_orun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii2_ctc_urun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_urun_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_ctc_urun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_cyawstn</spirit:name>
+ <spirit:displayName>sgmii2_cyawstn</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="cyawstn" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_cyawstn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_hdinn</spirit:name>
+ <spirit:displayName>sgmii2_hdinn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinn" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_hdinn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_hdinp</spirit:name>
+ <spirit:displayName>sgmii2_hdinp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinp" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_hdinp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_hdoutn</spirit:name>
+ <spirit:displayName>sgmii2_hdoutn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutn" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_hdoutn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_hdoutp</spirit:name>
+ <spirit:displayName>sgmii2_hdoutp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutp" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_hdoutp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii2_lsm_status_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="lsm_status_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_lsm_status_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_pll_lol</spirit:name>
+ <spirit:displayName>sgmii2_pll_lol</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="pll_lol" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_pll_lol"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii2_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rst_dual_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii2_rx_cdr_lol_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cdr_lol_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_cdr_lol_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii2_rx_los_low_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_los_low_s" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_los_low_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pcs_rst_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pwrup_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_rx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_serdes_rst_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_en</spirit:name>
+ <spirit:displayName>sgmii2_sci_en</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_en"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_en_dual</spirit:name>
+ <spirit:displayName>sgmii2_sci_en_dual</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en_dual" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_en_dual"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_int</spirit:name>
+ <spirit:displayName>sgmii2_sci_int</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_int" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_int"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rd</spirit:name>
+ <spirit:displayName>sgmii2_sci_rd</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rd" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rd"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_sel</spirit:name>
+ <spirit:displayName>sgmii2_sci_sel</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_sel"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_sel_dual</spirit:name>
+ <spirit:displayName>sgmii2_sci_sel_dual</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel_dual" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_sel_dual"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrn</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrn</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_wrn" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_serdes_pdb</spirit:name>
+ <spirit:displayName>sgmii2_serdes_pdb</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_pdb" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_serdes_pdb"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii2_serdes_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_rst_dual_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_serdes_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii2_signal_detect_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="signal_detect_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_signal_detect_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii2_tx_pclk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pclk" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_pclk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pcs_rst_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pwrup_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii2_tx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_serdes_rst_c" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txi_clk</spirit:name>
+ <spirit:displayName>sgmii2_txi_clk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="txi_clk" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txi_clk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_ctc_del_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_del_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_del_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_ctc_del_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_ctc_ins_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_ins_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_ins_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_ctc_ins_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_ctc_orun_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_orun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_orun_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_ctc_orun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_ctc_urun_s</spirit:name>
+ <spirit:displayName>sgmii3_ctc_urun_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="ctc_urun_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_ctc_urun_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_hdinn</spirit:name>
+ <spirit:displayName>sgmii3_hdinn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinn" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_hdinn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_hdinp</spirit:name>
+ <spirit:displayName>sgmii3_hdinp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdinp" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_hdinp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_hdoutn</spirit:name>
+ <spirit:displayName>sgmii3_hdoutn</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutn" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_hdoutn"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_hdoutp</spirit:name>
+ <spirit:displayName>sgmii3_hdoutp</spirit:displayName>
+ <spirit:vendorExtensions>
+ <lattice:attributes>
+ <lattice:attribute lattice:name="export">sys_yes</lattice:attribute>
+ </lattice:attributes>
+ </spirit:vendorExtensions>
+ <spirit:internalPortReference spirit:portRef="hdoutp" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_hdoutp"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_lsm_status_s</spirit:name>
+ <spirit:displayName>sgmii3_lsm_status_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="lsm_status_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_lsm_status_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_pll_refclki</spirit:name>
+ <spirit:displayName>sgmii3_pll_refclki</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="pll_refclki" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_pll_refclki"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii3_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rst_dual_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_cdr_lol_s</spirit:name>
+ <spirit:displayName>sgmii3_rx_cdr_lol_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cdr_lol_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_cdr_lol_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_los_low_s</spirit:name>
+ <spirit:displayName>sgmii3_rx_los_low_s</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_los_low_s" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_los_low_s"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pcs_rst_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_pwrup_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_rx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_serdes_rst_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxrefclk</spirit:name>
+ <spirit:displayName>sgmii3_rxrefclk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxrefclk" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxrefclk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sci_en</spirit:name>
+ <spirit:displayName>sgmii3_sci_en</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_en" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_sci_en"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_sci_sel</spirit:name>
+ <spirit:displayName>sgmii3_sci_sel</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_sel" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_sci_sel"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_serdes_rst_dual_c</spirit:name>
+ <spirit:displayName>sgmii3_serdes_rst_dual_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="serdes_rst_dual_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_serdes_rst_dual_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_signal_detect_c</spirit:name>
+ <spirit:displayName>sgmii3_signal_detect_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="signal_detect_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_signal_detect_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_pclk</spirit:name>
+ <spirit:displayName>sgmii3_tx_pclk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pclk" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_pclk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_pcs_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_pcs_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pcs_rst_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_pcs_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_pwrup_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_pwrup_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_pwrup_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_pwrup_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_serdes_rst_c</spirit:name>
+ <spirit:displayName>sgmii3_tx_serdes_rst_c</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="tx_serdes_rst_c" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_serdes_rst_c"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txi_clk</spirit:name>
+ <spirit:displayName>sgmii3_txi_clk</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="txi_clk" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txi_clk"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii0_rx_cv_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_cv_err" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_rx_cv_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_cv_err[0]</spirit:name>
+ <spirit:displayName>sgmii0_rx_cv_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cv_err[0]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_cv_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii0_rx_disp_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_disp_err" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_rx_disp_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_disp_err[0]</spirit:name>
+ <spirit:displayName>sgmii0_rx_disp_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_disp_err[0]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_disp_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_k</spirit:name>
+ <spirit:displayName>sgmii0_rx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_k" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_rx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rx_k[0]</spirit:name>
+ <spirit:displayName>sgmii0_rx_k[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_k[0]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rx_k[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata</spirit:name>
+ <spirit:displayName>sgmii0_rxdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rxdata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_rxdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[0]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[0]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[1]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[1]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[2]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[2]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[3]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[3]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[4]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[4]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[5]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[5]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[6]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[6]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_rxdata[7]</spirit:name>
+ <spirit:displayName>sgmii0_rxdata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[7]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_rxdata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii0" spirit:left="5"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_sci_addr" spirit:left="5"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[0]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[0]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[1]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[1]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[1]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[2]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[2]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[2]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[3]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[3]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[3]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[4]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[4]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[4]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_addr[5]</spirit:name>
+ <spirit:displayName>sgmii0_sci_addr[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_addr[5]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[5]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_sci_rddata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[0]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[0]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[1]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[1]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[2]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[2]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[3]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[3]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[4]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[4]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[5]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[5]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[6]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[6]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_rddata[7]</spirit:name>
+ <spirit:displayName>sgmii0_sci_rddata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[7]" spirit:componentRef="sgmii0"/>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_rddata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_sci_wrdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[0]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[1]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[1]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[2]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[2]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[3]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[3]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[4]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[4]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[5]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[5]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[6]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[6]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_sci_wrdata[7]</spirit:name>
+ <spirit:displayName>sgmii0_sci_wrdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_sci_wrdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[7]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii0_tx_disp_correct</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_disp_correct" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_tx_disp_correct" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_disp_correct[0]</spirit:name>
+ <spirit:displayName>sgmii0_tx_disp_correct[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_disp_correct[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_disp_correct[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_k</spirit:name>
+ <spirit:displayName>sgmii0_tx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_k" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_tx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_tx_k[0]</spirit:name>
+ <spirit:displayName>sgmii0_tx_k[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_tx_k[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_k[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata</spirit:name>
+ <spirit:displayName>sgmii0_txdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="txdata" spirit:componentRef="sgmii0" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_txdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[0]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[1]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[1]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[2]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[2]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[3]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[3]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[4]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[4]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[5]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[5]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[6]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[6]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_txdata[7]</spirit:name>
+ <spirit:displayName>sgmii0_txdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_txdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[7]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_xmit</spirit:name>
+ <spirit:displayName>sgmii0_xmit</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="xmit" spirit:componentRef="sgmii0" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii0_xmit" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii0_xmit[0]</spirit:name>
+ <spirit:displayName>sgmii0_xmit[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii0_xmit[0]"/>
+ <spirit:internalPortReference spirit:portRef="xmit[0]" spirit:componentRef="sgmii0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii1_rx_cv_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_cv_err" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_rx_cv_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_cv_err[0]</spirit:name>
+ <spirit:displayName>sgmii1_rx_cv_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cv_err[0]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_cv_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii1_rx_disp_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_disp_err" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_rx_disp_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_disp_err[0]</spirit:name>
+ <spirit:displayName>sgmii1_rx_disp_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_disp_err[0]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_disp_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_k</spirit:name>
+ <spirit:displayName>sgmii1_rx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_k" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_rx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rx_k[0]</spirit:name>
+ <spirit:displayName>sgmii1_rx_k[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_k[0]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rx_k[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata</spirit:name>
+ <spirit:displayName>sgmii1_rxdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rxdata" spirit:componentRef="sgmii1" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_rxdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[0]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[0]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[1]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[1]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[2]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[2]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[3]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[3]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[4]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[4]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[5]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[5]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[6]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[6]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_rxdata[7]</spirit:name>
+ <spirit:displayName>sgmii1_rxdata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[7]" spirit:componentRef="sgmii1"/>
+ <spirit:externalPortReference spirit:portRef="sgmii1_rxdata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii1_tx_disp_correct</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_disp_correct" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_tx_disp_correct" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_disp_correct[0]</spirit:name>
+ <spirit:displayName>sgmii1_tx_disp_correct[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_disp_correct[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_disp_correct[0]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_k</spirit:name>
+ <spirit:displayName>sgmii1_tx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_k" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_tx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_tx_k[0]</spirit:name>
+ <spirit:displayName>sgmii1_tx_k[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_tx_k[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_k[0]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata</spirit:name>
+ <spirit:displayName>sgmii1_txdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="txdata" spirit:componentRef="sgmii1" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_txdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[0]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[0]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[1]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[1]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[2]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[2]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[3]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[3]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[4]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[4]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[5]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[5]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[6]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[6]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_txdata[7]</spirit:name>
+ <spirit:displayName>sgmii1_txdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_txdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[7]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_xmit</spirit:name>
+ <spirit:displayName>sgmii1_xmit</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="xmit" spirit:componentRef="sgmii1" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii1_xmit" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii1_xmit[0]</spirit:name>
+ <spirit:displayName>sgmii1_xmit[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii1_xmit[0]"/>
+ <spirit:internalPortReference spirit:portRef="xmit[0]" spirit:componentRef="sgmii1"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii2_rx_cv_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_cv_err" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_rx_cv_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_cv_err[0]</spirit:name>
+ <spirit:displayName>sgmii2_rx_cv_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cv_err[0]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_cv_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii2_rx_disp_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_disp_err" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_rx_disp_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_disp_err[0]</spirit:name>
+ <spirit:displayName>sgmii2_rx_disp_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_disp_err[0]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_disp_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_k</spirit:name>
+ <spirit:displayName>sgmii2_rx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_k" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_rx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rx_k[0]</spirit:name>
+ <spirit:displayName>sgmii2_rx_k[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_k[0]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rx_k[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata</spirit:name>
+ <spirit:displayName>sgmii2_rxdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rxdata" spirit:componentRef="sgmii2" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_rxdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[0]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[0]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[1]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[1]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[2]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[2]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[3]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[3]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[4]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[4]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[5]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[5]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[6]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[6]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_rxdata[7]</spirit:name>
+ <spirit:displayName>sgmii2_rxdata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[7]" spirit:componentRef="sgmii2"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_rxdata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_addr" spirit:componentRef="sgmii3" spirit:left="5"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_sci_addr" spirit:left="5"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[0]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[0]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[1]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[1]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[1]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[2]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[2]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[2]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[3]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[3]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[3]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[4]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[4]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[4]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_addr[5]</spirit:name>
+ <spirit:displayName>sgmii2_sci_addr[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_addr[5]"/>
+ <spirit:internalPortReference spirit:portRef="sci_addr[5]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_rddata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_sci_rddata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[0]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[0]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[1]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[1]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[2]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[2]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[3]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[3]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[4]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[4]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[5]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[5]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[6]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[6]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_rddata[7]</spirit:name>
+ <spirit:displayName>sgmii2_sci_rddata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="sci_rddata[7]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_rddata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="sci_wrdata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_sci_wrdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[0]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[1]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[1]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[2]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[2]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[3]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[3]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[4]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[4]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[5]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[5]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[6]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[6]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_sci_wrdata[7]</spirit:name>
+ <spirit:displayName>sgmii2_sci_wrdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_sci_wrdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="sci_wrdata[7]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii2_tx_disp_correct</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_disp_correct" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_tx_disp_correct" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_disp_correct[0]</spirit:name>
+ <spirit:displayName>sgmii2_tx_disp_correct[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_disp_correct[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_disp_correct[0]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_k</spirit:name>
+ <spirit:displayName>sgmii2_tx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_k" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_tx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_tx_k[0]</spirit:name>
+ <spirit:displayName>sgmii2_tx_k[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_tx_k[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_k[0]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata</spirit:name>
+ <spirit:displayName>sgmii2_txdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="txdata" spirit:componentRef="sgmii2" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_txdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[0]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[0]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[1]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[1]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[2]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[2]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[3]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[3]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[4]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[4]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[5]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[5]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[6]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[6]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_txdata[7]</spirit:name>
+ <spirit:displayName>sgmii2_txdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_txdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[7]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_xmit</spirit:name>
+ <spirit:displayName>sgmii2_xmit</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="xmit" spirit:componentRef="sgmii2" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii2_xmit" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii2_xmit[0]</spirit:name>
+ <spirit:displayName>sgmii2_xmit[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii2_xmit[0]"/>
+ <spirit:internalPortReference spirit:portRef="xmit[0]" spirit:componentRef="sgmii2"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_cv_err</spirit:name>
+ <spirit:displayName>sgmii3_rx_cv_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_cv_err" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_rx_cv_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_cv_err[0]</spirit:name>
+ <spirit:displayName>sgmii3_rx_cv_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_cv_err[0]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_cv_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_disp_err</spirit:name>
+ <spirit:displayName>sgmii3_rx_disp_err</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_disp_err" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_rx_disp_err" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_disp_err[0]</spirit:name>
+ <spirit:displayName>sgmii3_rx_disp_err[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_disp_err[0]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_disp_err[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_k</spirit:name>
+ <spirit:displayName>sgmii3_rx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rx_k" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_rx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rx_k[0]</spirit:name>
+ <spirit:displayName>sgmii3_rx_k[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rx_k[0]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rx_k[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata</spirit:name>
+ <spirit:displayName>sgmii3_rxdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="rxdata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_rxdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[0]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[0]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[0]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[0]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[1]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[1]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[1]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[1]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[2]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[2]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[2]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[2]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[3]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[3]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[3]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[3]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[4]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[4]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[4]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[4]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[5]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[5]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[5]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[5]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[6]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[6]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[6]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[6]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_rxdata[7]</spirit:name>
+ <spirit:displayName>sgmii3_rxdata[7]</spirit:displayName>
+ <spirit:internalPortReference spirit:portRef="rxdata[7]" spirit:componentRef="sgmii3"/>
+ <spirit:externalPortReference spirit:portRef="sgmii3_rxdata[7]"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_disp_correct</spirit:name>
+ <spirit:displayName>sgmii3_tx_disp_correct</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_disp_correct" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_tx_disp_correct" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_disp_correct[0]</spirit:name>
+ <spirit:displayName>sgmii3_tx_disp_correct[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_disp_correct[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_disp_correct[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_k</spirit:name>
+ <spirit:displayName>sgmii3_tx_k</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="tx_k" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_tx_k" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_tx_k[0]</spirit:name>
+ <spirit:displayName>sgmii3_tx_k[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_tx_k[0]"/>
+ <spirit:internalPortReference spirit:portRef="tx_k[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata</spirit:name>
+ <spirit:displayName>sgmii3_txdata</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="txdata" spirit:componentRef="sgmii3" spirit:left="7"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_txdata" spirit:left="7"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[0]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[0]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[1]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[1]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[1]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[1]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[2]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[2]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[2]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[2]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[3]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[3]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[3]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[3]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[4]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[4]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[4]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[4]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[5]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[5]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[5]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[5]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[6]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[6]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[6]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[6]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_txdata[7]</spirit:name>
+ <spirit:displayName>sgmii3_txdata[7]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_txdata[7]"/>
+ <spirit:internalPortReference spirit:portRef="txdata[7]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_xmit</spirit:name>
+ <spirit:displayName>sgmii3_xmit</spirit:displayName>
+ <spirit:internalPortReference spirit:right="0" spirit:portRef="xmit" spirit:componentRef="sgmii3" spirit:left="0"/>
+ <spirit:externalPortReference spirit:right="0" spirit:portRef="sgmii3_xmit" spirit:left="0"/>
+ </spirit:adHocConnection>
+ <spirit:adHocConnection>
+ <spirit:name>sgmii3_xmit[0]</spirit:name>
+ <spirit:displayName>sgmii3_xmit[0]</spirit:displayName>
+ <spirit:externalPortReference spirit:portRef="sgmii3_xmit[0]"/>
+ <spirit:internalPortReference spirit:portRef="xmit[0]" spirit:componentRef="sgmii3"/>
+ </spirit:adHocConnection>
+ </spirit:adHocConnections>
+ </spirit:design>
+</lattice:project>